*

mfm_to_basic.vhd


--------------------------------------------------------------------------

-- FILENAME : mfm_to_basic.vhd
--
-- Convert the MFM signal from the disk drive to a 'Basic MFM' signal.
--
-- See the final report for a description of why and what a 'Basic MFM'
-- signal is.
--
-- AUTHOR : Craig Dunn
-- DATE STARTED : 10 December 2003
-- TAB SETTING : 4
-- RESET : Async (active low)
-- CLOCK : The disk drive MFM signal
-- KNOWN BUGS : None
-- VERSION : 1.0
--
-- All of the design and code in this module is my own work. No design or
-- code has been borrowed or copied from any source.
--------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity mfm_to_basic is
port ( RST : in std_logic;
MFM_IN : in std_logic;
BASIC_OUT : out std_logic
);
end mfm_to_basic;

architecture mfm_to_basic_arch of mfm_to_basic is
signal basic_mfm : std_logic;
begin

BASIC_OUT <= basic_mfm;

main : process(RST, MFM_IN)
begin
if RST = '0' then
basic_mfm <= '0';
elsif rising_edge(MFM_IN) then
basic_mfm <= not basic_mfm;
end if;
end process main;


end mfm_to_basic_arch;


BackHome